• Integrated Circuit Design - Chia sẻ kiến thức về vi mạch

    Vi mạch và Ứng dụng

  • Integrated Circuit Design - Chia sẻ kiến thức về vi mạch

    Vi mạch và Ứng dụng

  • Integrated Circuit Design - Chia sẻ kiến thức về vi mạch

    Vi mạch và Ứng dụng

Hiển thị các bài đăng có nhãn tool. Hiển thị tất cả bài đăng
Hiển thị các bài đăng có nhãn tool. Hiển thị tất cả bài đăng

Thứ Năm, 2 tháng 5, 2019

[SystemC][High Level Design]Bài 7 - Tổng hợp model code

Sau bài 6, các bạn đã có thể tạo một testbench đơn giản để kiểm tra model code. Bài viết này hướng dẫn tạo project và kiểm tra khả năng tổng hợp của model code trên phần mềm Vivado Design Suite HLS Edition, một phần mềm của hãng Xilinx dành cho FPGA. 
Các bài viết trước: bài 1, bài 2, bài 3, bài 4, bài 5bài 6.
1) Tạo project
Tại sao lại dùng Vivado? Đơn giản là vì phần mềm này thỏa mãn hai tiêu chí sau đây:
  • Hỗ trợ tổng hợp SystemC code
  • Dễ tải và cài đặt trên Windows
Các bạn có thể tải và cài đặt ở đây. Nhóm tác giả dùng bản Vivado 2017.04, các bạn có thể tải và sử dụng bản mới hơn. Trước tiên hãy tải model code và chuẩn bị một thư mục để làm việc. Ví dụ như:
D:\20.Project\1.ModelBaseDesign\scpu_source\scpu_final
Sau khi cài đặt Vivado thành công, mở phần mềm, tại cửa sổ đầu tiên "Vivado HLS Welcome Page" chọn một trong các mục sau:
  • Create New Project: để tạo project mới
  • Open Project: Mở một project đã tạo trước đó
  • Recent Project: Danh sách các project đã tạo và sử dụng gần nhất. Các bạn hãy tận dụng cửa sổ này đề mở nhanh một project đã tạo
Hình 1: Tạo project mới

Chọn "Create New Project", chúng ta chuyển đến cửa sổ "New Vivado HLS prject", bước "Project Confifuration":
  • Project name: đặt tên project trùng với module TOP, ví dụ này là scpu_top
  • Location: Đường dẫn đến thư mục chứa project sẽ tạo, ví dụ này là thư mục chứa model code đã chuẩn bị phía trên.
Hình 2: Tên project và đường dẫn đến thư mục tạo project
"Next" đến bước tiếp theo "Add/Remove Files" cho các file thiết kế, chọn "Add Files" và thêm tất cả các file model code (.cpp) liên quan đến thiết kế (không bao gồm các file dùng cho testbench và file .h). Tại ô "Top Function", điền tên module TOP là "scpu_top". Chú ý, hãy điền đúng tên module TOP, nếu điền sai lỗi không tìm thấy top function sẽ tạo ra khi biên dịch nhưng bạn vẫn có thể sửa lại sau khi đã tạo project bằng các vào Project/Project Settings/Synthesis.

Hình 3: Thêm file thiết kế (model code) vào project
Hình 4: Các file body được thêm và TOp Function được gán
"Next" đến bước tiếp theo "Add/Remove Files" cho các file testbench, chúng ta không mô phỏng nên bỏ qua bước này.
Hình 5: Thêm các file dành cho việc mô phỏng nếu có
"Next" đến bước tiếp theo "Solution Configuration":
  • Solution Name: đặt tên mục lưu các file cấu hình synthesis, ví dụ như "scpu_syn"
  • Clock" Cấu hình clock
  • Part selection: Chọn linh kiện FPGA sẽ sử dụng. Mục tiêu bài viết này không phải là nạp trên FPGA nên bạn chọn linh kiện bất kỳ để thử nghiệm.
Hình 6: Một số cấu hình cho synthesis
Nhấn "Finish" và chờ đợi. Chú ý, sau khi nhấn "Finish", quá trình tạo project khá lâu nên các bạn bĩnh tĩnh chờ đợi, tránh nhấn "Finish" nhiều lần sinh ra những cảnh báo lỗi không cần thiết.
Hình 7: Kết quả quá trình tạo project
Trong cửa sổ Explore, các bạn chú ý đến các phần sau:
  • Includes: Tất cả các file thư viện liên quan đến biên dịch và mô phỏng SystemC như gcc, systemc và cả các file header của thiết kế
  • Source: Chứa các file .cpp mà bạn đã thêm khi tạo project
  • Test Bench: Chứa các file dùng cho việc chạy mô phỏng nếu có
  • scpu_syn: Chứa các file constraint và các lệnh mà Vivado sẽ chạy khi biên dịch. Trong mục này, script.tcl là file chứa các lệnh chạy được tạo tự động bởi Vivado dựa trên những cấu hình đã thực hiện khi tạo project
Nội dung file script.tcl:
############################################################
## This file is generated automatically by Vivado HLS.
## Please DO NOT edit it.
## Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved.
############################################################
open_project scpu_top
set_top scpu_top
add_files scpu_decoder.cpp
add_files scpu_execute.cpp
add_files scpu_fetch.cpp
add_files scpu_top.cpp
add_files -tb scpu_testbench.cpp
add_files -tb test_top.cpp
open_solution "scpu_syn"
set_part {xc7s75fgga676-1} -tool vivado
create_clock -period 10 -name default
set_clock_uncertainty 0
#source "./scpu_top/scpu_syn/directives.tcl"
csim_design
csynth_design
cosim_design
export_design -format ip_catalog
Các lệnh như open_project, set_top, add_files, ... là các lệnh hỗ trợ bởi Vivado. Các bạn có thể đọc thêm User manual để hiểu rõ hơn, tuy nhiên tên lệnh cũng khá gợi nhớ giúp chúng ta dễ đoán được chức năng lệnh.
Tiếp theo, hai mục hay thao tác trên thanh công cụ cần chú ý là:
  • Project: Cấu hình lại thông tin project
  • Solution: Cấu hình lại thông tin synthesis, chạy synthesis và xem các báo cáo synthesis
2) Tổng hợp SystemC code
Tổng hợp SystemC code để tạo ra RTL code gọi là tổng hợp mức cao (High Level Synthesis). Đây là quá trình chuyển đổi code từ ngôn ngữ này (SystemC) sang ngôn ngữ khác (Verilog hoặc VHDL). Thư viện tổng hợp là các đoạn RTL code mẫu được xây dựng sẵn dùng để thay thế tương ứng cho model code.
Sau khi đã tạo xong project, bạn hãy bấm "Run C Synthesis".
Hình 8: Run C Synthesis
Bạn hãy quan sát cửa sổ Console để thấy quá trình synthesis diễn ra và trạng thái synthesis.
Hình 9: Quá trình synthesis thể hiện trên cửa sổ Console
Kết quả synthesis, thư mục "syn" được tạo ra trong "scpu_syn" chứa tất cả các code được biên dịch từ model code ban đầu gồm:
  • systemc: một cách mô tả systemc code khác nhưng chức năng như model code ban đầu
  • verilog: code verilog HDL
  • VHDL: code VHDL
Hình 10: Quá trình synthesis thành công
Một quá trình synthesis thành công sẽ không có bất kỳ lỗi nào được báo trên cửa sổ Console và trong thẻ Errors.
Đối với RTL code (Verilog hoặc VHDL):
  • Mỗi process (SC_METHOD) là một module Verilog hoặc một entity VHDL trong một file RTL độc lập
  • Mỗi module (SC_MODULE) là một module Verilog hoặc entity VHDL gọi các module/entity mô tả các process
  • module/entity TOP là một module/entity gọi các sub-module như cấu trúc hierarchy mô tả trong model code
Hình 11: Verilog được tạo ra sau khi synthesis
3) Kiểm tra lại Verilog code
Toàn bộ Verilog code được biên dịch với phần mềm Quartus II của Altera để kiểm tra lại syntax cũng như khả năng tổng hợp. Các bạn có thể lấy code này từ thư mục:
D:\20.Project\1.ModelBaseDesign\scpu_source\scpu_final\scpu_top\scpu_syn\syn\verilog
Kết quả là OK với nhiều warning vì RTL code được tạo theo mẫu với nhiều thành phần dư và khó tối ưu về "code style" như chúng ta viết code thông thường.
Hình 12: Biên dịch lại Verilog code được tạo ra từ Vivado trên Quartus II
Hình 13: Cấu trúc hierarchy của scpu_top
Trên đây là một hướng dẫn ngắn về việc tổng hợp mức cao trên phần mềm Vivado và kiểm chứng lại RTL code với Quartus II.

Lịch sử cập nhật:
1. 2019.May.02 - Tạo lần đầu

Danh sách tác giả:
1. Trương Công Hoàng Việt
2. Lê Hoàng Vân
3. Nguyễn Hùng Quân

Chủ Nhật, 28 tháng 4, 2019

[SystemC][High Level Design]Bài 5 - Hướng dẫn cài đặt một số phần mềm và thư viện để compile

Bài viết này trình bày cách cài đặt một số phần mềm như Cygwin, gtkwave, Vivado và thư viện SystemC trên Windows để kiểm tra, compile và mô phỏng SystemC code. Về cơ bản, sau khi đã viết đầy đủ model code như hướng dẫn ở bài 1 bài 2 bài 3bài 4. Chúng ta cần một số công cụ sau đây để phục vụ kiểm tra, biên dịch và chạy mô phỏng.

1) Cygwin Terminal
Cygwin là một phần mềm tập hợp các công cụ mã nguồn mở và các công cụ GNU tương tự như trên Linux để chạy trên Windows. Chúng ta cài đặt phần mềm này để sử dụng công cụ gcc/g++ biên dịch code SystemC.
Các bạn tải Cygwin ở đây: https://www.cygwin.com/
Chú ý, Cygwin có hai phiên bản 32 bit và 64 bit (hình minh họa trong bài này ứng với bản cài đặt Cygwin64 nhưng với Cygwin32 là tương tự). Cả hai đều compile được SystemC bằng g++ nhưng các bạn hãy cài bản 32 bit để có thể chạy mô phỏng được. Trên bản Cygwin64, hiện file .exe sau khi biên dịch không thể chạy mô phỏng như mong muốn nhưng nhóm tác giả chưa tìm ra cách khắc phục.
Hình 1: Tải Cygwin Terminal
Sau khi tải về, các bạn tiến hành cài đặt theo các bước sau đây (chú ý, việc cài đặt sẽ tải các gói công cụ từ các site cung cấp mã nguồn nên cần kết nối internet ổn định):
  • Bước 1, thông tin tổng quan về Cygwin và phiên bản cài đặt
Hình 2: Cygwin - bước 1, thông tin chung về Cygwin
  • Bước 2, tùy chọn cài đặt
    • Install from Internet: Cài trực tiếp các gói công cụ cần thiết qua internet -> Chọn option này
    • Dowload Without Installing: Chỉ tải source code chứ không cài đặt'
    • Install from Local Directory: Cài đặt từ source code mà bạn đã có sẵn trên máy tính
Hình 3: Cygwin - bước 2, chọn phương pháp cài đặt

  • Bước 3, chọn thư mục cài đặt tại "Root directory" và quyền sử dụng Cygwin:
    • All Users: Tất cả các user được tạo trên máy tình đều có thể sử dụng -> Chọn option này
    • Just me: Chỉ User mà bạn dùng để cài đặt mới có thể sử dụng Cygwin

Hình 4: Cygwin - bước 3, chọn thư mục cài đặt và quyền sử dụng

  • Bước 4, chọn thư mục lưu các file cần tải trong quá trình cài đặt

Hình 5: Cygwin - bước 4, chọn thư mục lưu các file cần tải trong quá trình cài đặt

  • Bước 5, chọn cách kết nối internet, chọn "Direct Connection" nếu bạn không có thiết lập gì đặc biệt.

Hình 6: Cygwin - bước 5, chọn cách kết nối internet mà bạn muốn thiết lập

  • Bước 6, chọn site để tải các gói cài đặt, bạn chọn site bất kỳ, nếu trong quá trình cài đặt, bạn thấy việc tải quá chậm hoặc không thể kết nối thì hãy thoát và chọn lại site khác.

Hình 7: Cygwin - bước 6, chọn site mà bạn sẽ tải các gói cài đặt Cygwin

  • Bước 7, tải các thông tin cài đặt từ site đã chọn ở bước 6

Hình 8: Cygwin - bước 7, tải các thông tin cần thiết từ site đã chọn

  • Bước 8, lựa chọn các công cụ (tool) bạn muốn cài đặt.
  • View: Chọn nhóm. "Full" sẽ liệt kê tất cả các công cụ được hỗ trợ
  • Search: Liệt kê các công cụ chứa từ khóa muốn tìm
  • Để tùy chọn cài đặt hãy chú ý các cột sau:
    • New: nhấp các ô của vào cột này để chọn tác vụ mà bạn muốn
      • Skip: bỏ qua, không cài đặt
      • Uninstall: gỡ bỏ công cụ đã cài đặt trước đó
      • Install hoặc "dãy số phiên bản": Cài đặt
      • Reinstall: Cài đặt lại công cụ đã có trước đó
    • Package hoặc Description: Tên công cụ
    • Size: dung lượng của gói công cụ
  • Cygwin là một tập hợp các công cụ của Unix có thể chạy trên nền Windows, vơi mục tiêu sử dụng Cygwin để biên dịch và kiểm tra code SystemC thì bạn cần cài đặt:
    • GNU compiler Collection (C, ...): Đây là gcc, một trình biên dịch code C
    • GNU compiler Collection (C++): Đây là g++, một trình biên dịch code C++
    • The GNU version of the "make" utility: Đây là công cụ "make", dùng để cài đặt các thư viện SystemC 
Hình 9: Cygwin - bước 8, lựa chọn các công cụ (tool) bạn muốn cài đặt cho Cygwin
  • Bước 9, cài đặt các công cụ đã chọn

Hình 10: Cygwin - bước 9, cài đặt
Sau khi cài đặt, chương trình có biểu tượng sau đây là Cygwin.
Hình 11: Cygwin logo
Thêm đường dẫn các tool của Cygwin, ví dụ C:\cygwin\bin, đến biến môi trường PATH của Windows để tránh lỗi không tìm thấy file cygwin1.dll.
Hình 12: Thêm đường dẫn thư mục cài đặt Cygwin đến biến PATH
2) Cài đặt thư viện SystemC
Thư viện SystemC được tải tại website:
https://www.accellera.org/downloads/standards/systemc

Hình 13: Tải thư viện SystemC
SystemC có nhiều phiên bản khác nhau, bản mới nhất là 2.3.3. Sau khi giải nén bạn sẽ có thư mục "systemc-2.3.3".
Hình 14: Thư mục dùng để cài đặt SystemC sau giải nén
Bước 1, mở Cygwin, vào thư mục đã giải nén chạy lệnh sau:
./configure --prefix=<đường dẫn thư mục sẽ cài SystemC>
Ví dụ:
./configure --prefix=/cygdrive/d/20.Project/1.ModelBaseDesign/SystemC_lib


Hình 15: Kết quả chạy configure
Bước 2, chạy lệnh cài đặt:
make install
Hình 16: Quá trình cài đặt thư viện SystemC
Nếu trước đó đã cài đặt nhưng bây giờ cần cài lại thì cần chạy lệnh sau trước khi "make install"
make clean
Đối với thư viện SystemC-2.2.0 trở về trước, cần chỉnh sửa file systemc-2.2.0/src/systemc.h trước khi cài đặt. Tìm đến các dòng code sau:
    using std::wctomb;
    using std::mbstowcs;
    using std::wcstombs;
Xóa 2 dòng:
    //using std::wctomb;
    using std::mbstowcs;
    //using std::wcstombs;
Hoặc sửa thành:
#if !defined(__CYGWIN__) && !defined(__CYGWIN32)
    using std::wctomb;
    using std::wcstombs;
#endif
Phiên bản SystemC-2.3.* không cần chỉnh.

3) Tải và cài đặt phần mềm gtkwave để đọc file vcd xem waveform
Khi mô phỏng SystemC code, chúng ta có thể tạo (dump) file waveform với định dạng .vcd. gtkwave là một trong nhưng công cụ miễn phí hỗ trợ việc đọc và hiển thị waveform.
Các bạn có thể tải các phiên bản muốn sử dụng ở đây: http://gtkwave.sourceforge.net/
Hình 17: Tải GTKWave
Có rất nhiều phiên bản nhưng ở đây, nhóm tác giả sử dụng phiên bản 32 bit gtkwave-3.3.100-bin-win32 đã build sẵn, chỉ cần tải về -> giải nén -> sử dụng.
Hình 18: Tải bản GTKWave cho win32
Chú ý, nhóm tác giả có thử tải bản gtkwave-3.3.100-bin-win64 nhưng bản này bị lỗi khi chạy chương trình gtkwave\bin\gtkwave.exe.
4) Cài đặt phần mềm Vivado để tổng hợp SystemC
Đây là một phần mềm dành cho FFGA của Xilinx nhưng sẽ được nhóm tác giả sử dụng để biên dịch từ SystemC code (model code) thành Verilog code để kiểm chứng khả năng tổng hợp của model code.
Việc tải và đặt đã được hướng dẫn chi tiết ở đường dẫn sau:
5) Biên dịch model code với g++ trên Cygwin và xem waveform với gtkwave
Sau khi đã cài Cygwin (bản 32 bit) và công cụ g++ kèm theo. Các bạn hãy thử kiểm tra với model code được mô tả sau đây. Chú ý, model code này lấy từ tài liệu về SystemC của Peeter Ellervee trên website "https://wiki.ttu.ee". Đây là một model có cả phần design, test và tạo file waveform .vcd nên nhóm tác giả dùng để kiểm chứng các tool đã cài đặt, còn việc làm thế nào để viết và hiểu code này, các bạn sẽ được hướng dẫn tiếp trong những bài tiếp theo với ví dụ SCPU của nhóm tác giả.
Model code 1 - header file 
#include "systemc.h"
SC_MODULE (mux) {
  int const static bit_number=8;
  sc_in <sc_bv <bit_number> > a_in;
  sc_in <sc_bv <bit_number> > b_in;
  sc_in <bool> juht;
  sc_out <sc_bv <bit_number> > out_sig;
  SC_CTOR(mux):a_in("a_in"),b_in("b_in"),
    juht("juht"),out_sig("out_sig") {
    cout<<"mux constructor"<<endl;
    //
    SC_METHOD( choose_out );
      sensitive<<juht<<a_in<<b_in;
  }
  //
  void choose_out() {
    if (juht.read())
      out_sig.write(a_in.read());
    else out_sig.write(b_in.read());
  }
};

Model code 2 - body file
#include "systemc.h"
#include "mux.h"
SC_MODULE (t_mux) {
  int const static num_bits=8;
  sc_signal <sc_bv <num_bits> > t_a_in;
  sc_signal <sc_bv <num_bits> > t_b_in;
  sc_signal <bool> t_juht;
  sc_signal <sc_bv <num_bits> > t_out_sig;
  mux* mux_instance;
  void stimulus();
  SC_CTOR(t_mux):t_a_in("t_a_in"),
    t_b_in("t_b_in"),t_juht("t_juht"),
    t_out_sig("t_out_sig") {
    cout<<"t_mux constructor"<<endl;
    mux_instance=new mux("mux");
    mux_instance->a_in(t_a_in);
    mux_instance->b_in(t_b_in);
    mux_instance->juht(t_juht);
    mux_instance->out_sig(t_out_sig);
    //
    SC_THREAD(stimulus);
  }
};
void t_mux::stimulus()
{
  t_a_in.write("11111111");
  t_b_in.write("00001111");
  t_juht.write((bool)0);
  wait(1, SC_PS);
  t_juht.write((bool)1);
  wait(10, SC_NS);
  t_juht.write((bool)0);
  wait(10, SC_NS);
  t_a_in.write("11110000");
  t_b_in.write("10101010");
  t_juht.write((bool)0);
  wait(10, SC_NS);
  t_juht.write((bool)1);
  wait();
}
int sc_main(int args, char* argv[])
{
  t_mux testMux("t_mux");
  sc_trace_file *trcf=
  sc_create_vcd_trace_file("trace-it");
  if(trcf==NULL) cout<<"Sorry, no tracing..."<<endl;
  sc_trace(trcf, testMux.t_a_in, "t_a_in");
  sc_trace(trcf, testMux.t_b_in, "t_b_in");
  sc_trace(trcf, testMux.t_juht, "t_juht");
  sc_trace(trcf, testMux.t_out_sig, "t_out_sig");
  sc_start(40, SC_NS);
  sc_close_vcd_trace_file(trcf);
  return 0;
}
Bước 1, biên dịch model code.
Mở Cygwin và chuyển đến thư mục chứa model code, ví dụ ở đây là 8560w:/cygdrive/d/mux
Hình 19: Thưc mục chứa model code
Chạy lệnh biên dịch:
g++ -I. -I/cygdrive/d/20.Project/1.ModelBaseDesign/SystemC_lib32_233/include -L. -L/cygdrive/d/20.Project/1.ModelBaseDesign/SystemC_lib32_233/lib-cygwin -o t_mux t_mux.cpp -lsystemc -lm
Trong đó:
  • -I là đường dẫn thư mục cai đặt chứa các file header (.h)
  • -L là đường dẫn thư mục cài đặt thư viện SystemC, ứng với từng nền tảng cụ thể tên thư mục này sẽ khác nhau, ví dụ đối với cygwin32 là "lib-cygwin, đối với cygwin64 là "lib-cygwin64, trên hệ điều hành linux 64 bit là "lib-linux64, ...
  • -o t_mux là tạo file ngõ ra của quá trình biên dịch. Đây là một file thực thi (.exe) tên t_mux
  • t_mux.cpp là file TOP
Hình 20: Biên dịch model code
Sau khi biên dịch thành công, file t_mux.exe được tạo ra. Đây là một file thực thi có đuôi .exe. Chú ý, trên hệ điều hành linux, file được tạo ra sẽ là "t_mux" chứ không phải "t_mux.exe"
Hình 21: Kết quả biên dịch
Bước 2, chạy mô phỏng bằng cách thực thi chương trình C (.exe)
./t_mux.exe
Hình 22: Thực thi chương trình t_mux.exe
Sau khi thực thi chương trình t_mux.exe, file waveform trace-it.vcd được tạo ra. Tên file thể hiện trong model code, các bạn có thể đọc lại để biết.
Hình 23: Kết quả chạy mô phỏng và tạo waveform
Bước 3, xem waveform để debug (nếu cần)
Mở phần mềm gtkwave (gtkwave\bin\gtkwave.exe). Trên menu, chọn File->Open New Tab, mở file .vcd
Hình 24: Mở file .vcd
Thêm các tín hiệu được trace đến cửa sổ wave, các tín hiệu này phải được khai báo trong model code bằng sc_trace.
Hình 25: Add các tín hiệu được trace đến cửa sổ wave
Chọn YES ở cửa sổ sau:
Hình 26: Xác nhận các tín hiệu được add đến wave
Cuối cùng, chúng ta có thể quan sát waveform của tất cả các tín hiệu cần debug.
Hình 27: Quan sát waveform bằng gtkwave
Lịch sử cập nhật:
1. 2019.Apr.29 - Tạo lần đầu

Danh sách tác giả:
1. Lê Hoàng Vân
2. Trương Công Hoàng Việt
3. Nguyễn Hùng Quân

Thứ Bảy, 5 tháng 8, 2017

[Questa SIM] Hướng dẫn cài đặt và chạy mô phỏng cơ bản với Questa SIM

1. Giới thiệu về Questa SIM

Questa SIM là một trình mô phỏng của hãng Mentor Graphics hỗ trợ đa nền tảng như hệ điều hành UNIX, Linux và Windows. Nếu bạn nào đã từng sử dụng ModelSim, một trình mổ phỏng khác của Mentor Graphics, thì việc làm quen với Questa SIM rất nhanh chóng và dễ dàng.

Questa SIM hỗ trợ biên dịch các thiết kế viết bằng Verilog HDL, VHDL và SystemC và hỗ trợ mô phỏng các đối tượng viết bằng Verilog HDL, VHDL, SystemC, System Verilog, PSL, UPF (Unified Power Format).

Việc chạy mô phỏng với Questa SIM có thể thực hiện bằng giao diện phần mềm (GUI - Graphical User Interface), bằng chế độ lệnh (Command-line) hoặc bằng chế độ batch.
  • GUI: Chế độ sử dụng giao diện phần mềm để thực thi mô phỏng bằng các nút, menu tùy chọn hoặc bằng cách lệnh trong cửa sổ transcript mà giao diện hỗ trợ
  • Command-line: Dùng lệnh để chạy mô phỏng bằng cửa sổ lệnh (command prompt) của hệ điều hành. Questa SIM sẽ bắt đầu thực thi với các biến được đặc tả trong file modelsim.ini, một file được trình mô phỏng tự động tạo ra, hoặc một file DO tùy chọn do người dùng tạo ra.
  • Batch: Dùng lệnh để chạy mô phỏng bằng cửa sổ lệnh của hệ điều hành với các biến được nhập trực tiếp từ ngõ vào chuẩn (bàn phím) hoặc từ file; và xuất ra ngõ ra chuẩn (màn hình) hoặc file.
Hình 1. Trình tự thực thi mô phỏng trong Questa SIM
Trình tự mô phỏng gồm 5 bước cơ bản:
  1. Thu thập các file đầu vào (Verilog, VHDL, SystemC) và ánh xạ các thư viện. Bước này tự động tạo ra file modelsim.ini như đã nói ở trên.
  2. Phân tích kiểm tra code và biên dịch
  3. Tối ưu và tạo cơ sở dữ liệu đã biên dịch
  4. Mô phỏng
  5. Gỡ lỗi (Debug)
2. Download gói cài đặt


3. Cài đặt
3.1/ Giải nén thư mục cài đặt
Sau khi giải nén, thư mục QuestaSim10.2c\Mentor Graphics Questa sim SE (64bit) 10.2c chứa các file sau:
  1. questasim-gcc-4.5.0-mingw64.zip
  2. questasim-win64-10.2c.exe
  3. regassistuvm_4.3_win.exe
  4. Thuốc cho tool
3.2/ Cài đặt Questa SIM
Chạy file questasim-win64-10.2c.exe để cài đặt Questa SIM.
Chọn Next → Agree → Next → Yes  → Yes
Đến cửa sổ sau đây thì chọn NO
Hình 2. Cài đặt Questa SIM
3.3/ Cài đặt thưc viện UVM

Đây là thư viện sử dụng cho phương pháp mô phỏng mới nhất hiện nay là UVM (Universal Verification Methodology).

Chạy file regassistuvm_4.3_win.exe để cài đặt.
Chọn Next -> chọn thư mục cài đặt và Next
Hình 3. Cài đặt thư viện UVM
3.4/ C/C++ compiler cho môi trường UVM
Giải nén file questasim-gcc-4.5.0-mingw64.zip và copy thư mục "gcc-4.5.0-mingw64" vào thư mục cài đặt QuestaSim. Đây là gcc compiler dùng để tổng hợp DPI (Direct Programming Interface) dùng cho môi trường mô phỏng UVM.
Lỗi thường gặp khi thiếu C/C++ compiler:
** Fatal: (vsim-7019) Can't locate a C/C++ compiler for 'DPI Export Compilation'.
3.5/ Tạo thuốc cho Questa SIM

Copy file MentorKG.exe và patch_dll.bat trong thư mục 
QuestaSim10.2c\Mentor Graphics Questa sim SE (64bit) 10.2c\c-r-a-c-k vào thư mục cài đặt c:\questasim64_10.2c\win64\

Chạy file patch_dll.bat sẽ tự động tạo ra file mgls.dll.

Chạy file MentorKG.exe, cửa sổ hiện ra như sau:
Hình 4. Chạy file MentorKG.exe
Chờ cho đến khi file ".txt" sau được tạo ra và hiện lên như sau:
Hình 5. File *.txt
Lưu lại file trên dưới tên với đuôi mở rộng .dat trong thư mục cài đặt c:\questasim64_10.2c\win64\ 

Bấm chuột phải lên Computer -> Properties -> Advanced system
  • Chọn Environment Variables tab -> Chọn new ở khung User variables for
  • Thêm:
    • Variable name: LM_LICENSE_FILE
    • Variable value: c:\questasim64_10.2c\win64\<tên file>.dat đã tạo ở trên
Hình 6. Thiết lập biến môi trường cho Questa SIM
Khởi động thử Questa SIM, hiện giao diện bình thường là OK.
Hình 7. Giao diện Questa SIM-64 10.2c
4. Chạy mô phỏng với giao diện (GUI) của Questa SIM

4.1 Đầu vào mô phỏng
Đầu vào mô phỏng gồm một file thiết kế (đây là bộ đếm Johnson tham khảo ở lilnk sau http://nguyenquanicd.blogspot.com/2017/08/verilog-rtl-code-mo-ta-cac-loai-bo-em.html) và một file testbench tạo giá trị ngõ vào cho việc mô phỏng thiết kế:
  1. File thiết kế: johnson_counter.v
  2. File testbench: tb_jc.v
Ở đây file testbench chỉ cần tạo xung clock clk và reset ban đầu rst_n.

File RTL code của thiết kế:
module johnson_counter (clk, rst_n, js_count);
//
parameter N  = 4;
//
//Interface
//
input clk;
input rst_n;
output reg [N-1:0] js_count;
wire js_msb_inv;
assign js_msb_inv = ~js_count[N-1];
always @ (posedge clk) begin
 if (~rst_n)
   js_count[N-1:0] <= 0;
 else
   js_count[N-1:0] <= {js_count[N-2:0], js_msb_inv};
end
endmodule

File testbench:
module tb_jc;
parameter N = 4;
//
//Interface
//
reg clk;
reg rst_n;
wire [N-1:0] js_count;
johnson_counter #(.N(N)) dut (.clk(clk), .rst_n(rst_n), .js_count(js_count));
initial begin
  clk = 0;
forever #10 clk = !clk;
end
initial begin
  rst_n = 0;
#20
rst_n = 1;
end
endmodule 
4.2 Tạo project
Chọn File->New->Project...

Đặt tên project (Project name) và chọn đường dẫn lưu project (Project location) trong cửa sổ sau:
Hình 8. Tạo project
Tạo file thiết kế (Verilog, VHDL, SystemC) hoặc file mô phỏng mới (Create New File) hoặc thêm các file đã tạo sẵn vào project (Add Existing File) trong cửa sổ sau:
Hình 9. Tạo mã nguồn mô phỏng
Ở đây, tôi chọn Add Existing File và dẫn đến 2 file johnson_counter.v tb_jc.v đã được viết trước. Open->OK->Close
Hình 10. Chọn file
4.3 Biên dịch
Bấm chuột phải vào một trong các file và chọn Compile->compile All
Hình 11. Biên dịch các file
Chú ý, ký hiệu "?" ở cột Status biểu thị file chưa được biên dịch. Nếu biên dịch lỗi thì Status là dấu chéo màu đỏ, biên dịch tốt thì Status là dấu chỉ thị màu xanh.  Xem thông tin ở cửa sổ Transcript để thấy các thông tin cảnh báo. Ví dụ ở đây, file johnson_counter.v biên dịch thành công, file tb_jc.v biên dịch lỗi.
Hình 12. Cảnh báo trên cửa sổ Transcript
Nếu lỗi, hãy nhấp chột vào dòng cảnh báo trên cửa sổ Transcript để biết lý do và vị trí lỗi. Như ví dụ này, file tb_jc.v bị lỗi ở dòng 10 gần ký hiệu "#" và đây là lỗi cú pháp. Dòng 10 như sau:

johnson_counter dut #(.N(N)) (.clk(clk), .rst_n(rst_n), .js_count(js_count));
Tôi khai báo tên gọi module là dut đặt đằng trước việc gán giá trị tham số trong khi nó phải đặt sau như dòng code sau đây:
johnson_counter #(.N(N)) dut (.clk(clk), .rst_n(rst_n), .js_count(js_count));
Sau khi sửa, bạn hãy biên dịch lại là thành công như sau:
Hình 13. Biên dịch lại các file

4.4 Chạy mô phỏng
Sau khi biên dịch thành công, đối tượng được biện dịch sẽ xuất hiện trong thư mục work của thẻ library như sau:
Hình 14. Thẻ library
Bấm chuột phải vào đối tượng testbench tb_jc để chọn chế độ chạy mô phỏng. Ở đây, tôi chọn simulate without optimization để tạo ra các tín hiệu có thể quan sát dạng sóng.
Hình 15. Chạy mô phỏng
Sau khi thực thi, một vài cửa số khác hiện lên gồm:
  • Cửa sổ sim thể hiện cấu trúc thứ bậc của các đối tượng đang được mô phỏng. Ví dụ, tb_jc gọi thiết kế có tên dut. Ngang cấp với dut là hai khối initial. Bên trong dut có 1 khối always và một phát biểu assign.
  • Cửa số Objects thể hiện các tín hiệu, biến tương ứng có trong đối tượng bên cửa sổ sim.
  • Cửa sổ Wave sẽ là nơi để quan sát dạng sóng các tín hiệu
Lựa chọn các tín hiệu cần quan sát, bấm chuột phải và Add wave
Hình 16. Lấy tín hiệu để xem dạng sóng
Chú ý bên trên thanh công cụ có một nhóm các button để điều khiển việc chạy mô phỏng. Ở đây tôi chọn chạy mô phỏng với thời gian 500 ns. Bấm run cạnh ô 500 ns.
Hình 17. Chạy mô phỏng và xem waveform

Lịch sử cập nhật:
1) 2019.July.13 - Thêm mục 3.4 C/C++ compiler cho môi trường UVM
2) 2020.Jan.04 - Sửa link hình ảnh
3) 2020.Apr.25 - Sửa link tải QuestaSim